module reg_test; reg [7:0] I; wire [7:0] T; wire [7:0] O; reg S; reg W; reg R; reg C; initial begin $shm_open("waves.shm"); $shm_probe("a"); end initial // テストベクタここから begin R = #0 0; W = #0 0; R = #0 0; C = #0 0; S = #1500 1; S = #1000 0; I = #1000 8'ha5; W = #1000 1; W = #1000 0; I = #0 8'hZZ; R = #1000 1; R = #1000 0; $finish; end // テストベクタここまで always @( C ) while(1) C = #500 ~ C; register U1 ( I, T, O, C, S, W, R); endmodule
次は、シミュレーションおよび波形の表示です。
My mail address is
kuga@cs.kumamoto-u.ac.jp .
Last modified on