ビデオモジュールの作成


先の "Create IP"により、ビデオモジュールの雛形が作成できましたが、
まだプロセッサとのインタフェースに関する雛形が作成されただけです。
ビデオモジュールの中身については、すべて作成する必要があります。

ビデオモジュールの仕様

画面構成、文字構成およびメモリマップの対応を下図す。
MicroBlazeのような32ビットプロセッサの場合、メモリのアドレスはバイト単位に振られているが、
1回のデータ読み書きで32ビット(4バイト)の同時アクセスが可能となっている。
1文字を書き換える場合は,バイト単位でアクセスする。




ビデオモジュールのブロック図

ビデオモジュールは、主に以下のブロックからなる。




ビデオ画像表示の考え方

液晶モニタへの画像表示は、通常のテレビと同様にラスタスキャンで表示を行う。 これらのカウンタ等を実現するために、以下のような定義をしておくと良いであろう。
`define HMAX 108 // H total Char.
`define HSIZ  80 // H Size
`define HSP   87 // H Sync. Pos.
`define HSL    2 // H Sync. Len.
`define HCR   16 // H dots per Char.
`define VMAX  48 // V total Line
`define VSIZ  45 // V Size
`define VSP   46 // V Sync. Pos.
`define VSL    1 // V Sync. Line
`define VCR   16 // V lines per Char.
また、カウンタの宣言は以下のとおりとなる。
reg    [3:0] CNT;
reg    [7:0] HCNT;  // H Counter 0-107
reg    [6:0] VCNT;  // V Counter 0- 47
reg    [4:0] RCNT;  // R Counter 0- 15

VideoRAMとChar.ROMのアクセス方法




仕様が明確になったならば、以下のコマンドによりビデオコントローラの中身を記述していきます。
プロジェクトの"Sources"ウィンドウから"cga_v1_0"を選択してダブルクリッ クし,ソースコードを右側のエディタに表示します.




"cga_v1_0"は,以下のように変更します。
変更後(赤い部分のみ追加・変更すること)
`timescale 1 ns / 1 ps

	module cga_v1_0 #
	(
		// Users to add parameters here

		// User parameters ends
		// Do not modify the parameters beyond this line


		// Parameters of Axi Slave Bus Interface S00_AXI
		parameter integer C_S00_AXI_DATA_WIDTH	= 32,
		parameter integer C_S00_AXI_ADDR_WIDTH	= 16
	)
	(
		// Users to add ports here 
                input  wire       CGA_CLK,
                output wire [3:0] VGA_R,
                output wire [3:0] VGA_G,
                output wire [3:0] VGA_B,
                output wire       VGA_HS,
                output wire       VGA_VS,
		// User ports ends
		// Do not modify the ports beyond this line


		// Ports of Axi Slave Bus Interface S00_AXI
		input wire  s00_axi_aclk,
		input wire  s00_axi_aresetn,
		input wire [C_S00_AXI_ADDR_WIDTH-1 : 0] s00_axi_awaddr,
		input wire [2 : 0] s00_axi_awprot,
		input wire  s00_axi_awvalid,
		output wire  s00_axi_awready,
		input wire [C_S00_AXI_DATA_WIDTH-1 : 0] s00_axi_wdata,
		input wire [(C_S00_AXI_DATA_WIDTH/8)-1 : 0] s00_axi_wstrb,
		input wire  s00_axi_wvalid,
		output wire  s00_axi_wready,
		output wire [1 : 0] s00_axi_bresp,
		output wire  s00_axi_bvalid,
		input wire  s00_axi_bready,
		input wire [C_S00_AXI_ADDR_WIDTH-1 : 0] s00_axi_araddr,
		input wire [2 : 0] s00_axi_arprot,
		input wire  s00_axi_arvalid,
		output wire  s00_axi_arready,
		output wire [C_S00_AXI_DATA_WIDTH-1 : 0] s00_axi_rdata,
		output wire [1 : 0] s00_axi_rresp,
		output wire  s00_axi_rvalid,
		input wire  s00_axi_rready
	);
// Instantiation of Axi Bus Interface S00_AXI
	cga_v1_0_S00_AXI # ( 
		.C_S_AXI_DATA_WIDTH(C_S00_AXI_DATA_WIDTH),
		.C_S_AXI_ADDR_WIDTH(C_S00_AXI_ADDR_WIDTH)
	) cga_v1_0_S00_AXI_inst ( 
                .CGA_CLK(CGA_CLK),
                .R(VGA_R),
                .G(VGA_G),
                .B(VGA_B),
                .HS(VGA_HS),
                .VS(VGA_VS),
		.S_AXI_ACLK(s00_axi_aclk),
		.S_AXI_ARESETN(s00_axi_aresetn),
		.S_AXI_AWADDR(s00_axi_awaddr),
		.S_AXI_AWPROT(s00_axi_awprot),
		.S_AXI_AWVALID(s00_axi_awvalid),
		.S_AXI_AWREADY(s00_axi_awready),
		.S_AXI_WDATA(s00_axi_wdata),
		.S_AXI_WSTRB(s00_axi_wstrb),
		.S_AXI_WVALID(s00_axi_wvalid),
		.S_AXI_WREADY(s00_axi_wready),
		.S_AXI_BRESP(s00_axi_bresp),
		.S_AXI_BVALID(s00_axi_bvalid),
		.S_AXI_BREADY(s00_axi_bready),
		.S_AXI_ARADDR(s00_axi_araddr),
		.S_AXI_ARPROT(s00_axi_arprot),
		.S_AXI_ARVALID(s00_axi_arvalid),
		.S_AXI_ARREADY(s00_axi_arready),
		.S_AXI_RDATA(s00_axi_rdata),
		.S_AXI_RRESP(s00_axi_rresp),
		.S_AXI_RVALID(s00_axi_rvalid),
		.S_AXI_RREADY(s00_axi_rready)
	);

	// Add user logic here

	// User logic ends

        endmodule
"cga_v1_0_S00_AXI_inst"は,以下のように変更します。
変更後(赤い部分のみ追加)(青い部分のみコメント) にすること
`timescale 1 ns / 1 ps

`define HMAX 108 // H total Char.
`define HSIZ  80 // H Size
`define HSP   87 // H Sync. Pos.
`define HSL    2 // H Sync. Len.
`define HCR   16 // H dots per Char.
`define VMAX  48 // V total Line
`define VSIZ  45 // V Size
`define VSP   46 // V Sync. Pos.
`define VSL    1 // V Sync. Line
`define VCR   16 // V lines per Char.

	module CGA_v1_0_S00_AXI #
	(
		// Users to add parameters here

		// User parameters ends
		// Do not modify the parameters beyond this line

		// Width of S_AXI data bus
		parameter integer C_S_AXI_DATA_WIDTH	= 32,
		// Width of S_AXI address bus
		parameter integer C_S_AXI_ADDR_WIDTH	= 16
	)
	(
		// Users to add ports here 
                input  wire       CGA_CLK,
                output wire [3:0] R,
                output wire [3:0] G,
                output wire [3:0] B,
                output wire       HS,
                output wire       VS,
		// User ports ends
		// Do not modify the ports beyond this line

		// Global Clock Signal
		input wire  S_AXI_ACLK,
		// Global Reset Signal. This Signal is Active LOW
		input wire  S_AXI_ARESETN,
		// Write address (issued by master, acceped by Slave)
		input wire [C_S_AXI_ADDR_WIDTH-1 : 0] S_AXI_AWADDR,
		// Write channel Protection type. This signal indicates the
    		// privilege and security level of the transaction, and whether
    		// the transaction is a data access or an instruction access.
		input wire [2 : 0] S_AXI_AWPROT,
		// Write address valid. This signal indicates that the master signaling
    		// valid write address and control information.
		input wire  S_AXI_AWVALID,
		// Write address ready. This signal indicates that the slave is ready
    		// to accept an address and associated control signals.
		output wire  S_AXI_AWREADY,
		// Write data (issued by master, acceped by Slave) 
		input wire [C_S_AXI_DATA_WIDTH-1 : 0] S_AXI_WDATA,
		// Write strobes. This signal indicates which byte lanes hold
    		// valid data. There is one write strobe bit for each eight
    		// bits of the write data bus.    
		input wire [(C_S_AXI_DATA_WIDTH/8)-1 : 0] S_AXI_WSTRB,
		// Write valid. This signal indicates that valid write
    		// data and strobes are available.
		input wire  S_AXI_WVALID,
		// Write ready. This signal indicates that the slave
    		// can accept the write data.
		output wire  S_AXI_WREADY,
		// Write response. This signal indicates the status
    		// of the write transaction.
		output wire [1 : 0] S_AXI_BRESP,
		// Write response valid. This signal indicates that the channel
    		// is signaling a valid write response.
		output wire  S_AXI_BVALID,
		// Response ready. This signal indicates that the master
    		// can accept a write response.
		input wire  S_AXI_BREADY,
		// Read address (issued by master, acceped by Slave)
		input wire [C_S_AXI_ADDR_WIDTH-1 : 0] S_AXI_ARADDR,
		// Protection type. This signal indicates the privilege
    		// and security level of the transaction, and whether the
    		// transaction is a data access or an instruction access.
		input wire [2 : 0] S_AXI_ARPROT,
		// Read address valid. This signal indicates that the channel
    		// is signaling valid read address and control information.
		input wire  S_AXI_ARVALID,
		// Read address ready. This signal indicates that the slave is
    		// ready to accept an address and associated control signals.
		output wire  S_AXI_ARREADY,
		// Read data (issued by slave)
		output wire [C_S_AXI_DATA_WIDTH-1 : 0] S_AXI_RDATA,
		// Read response. This signal indicates the status of the
    		// read transfer.
		output wire [1 : 0] S_AXI_RRESP,
		// Read valid. This signal indicates that the channel is
    		// signaling the required read data.
		output wire  S_AXI_RVALID,
		// Read ready. This signal indicates that the master can
    		// accept the read data and response information.
		input wire  S_AXI_RREADY
	);

	// AXI4LITE signals
	reg [C_S_AXI_ADDR_WIDTH-1 : 0] 	axi_awaddr;
	reg  	axi_awready;
	reg  	axi_wready;
	reg [1 : 0] 	axi_bresp;
	reg  	axi_bvalid;
	reg [C_S_AXI_ADDR_WIDTH-1 : 0] 	axi_araddr;
	reg  	axi_arready;
	reg [C_S_AXI_DATA_WIDTH-1 : 0] 	axi_rdata;
	reg [1 : 0] 	axi_rresp;
	reg  	axi_rvalid;

	// Example-specific design signals
	// local parameter for addressing 32 bit / 64 bit C_S_AXI_DATA_WIDTH
	// ADDR_LSB is used for addressing 32/64 bit registers/memories
	// ADDR_LSB = 2 for 32 bits (n downto 2)
	// ADDR_LSB = 3 for 64 bits (n downto 3)
	localparam integer ADDR_LSB = (C_S_AXI_DATA_WIDTH/32) + 1;
	localparam integer OPT_MEM_ADDR_BITS = 13;
	//----------------------------------------------
	//-- Signals for user logic register space example
	//------------------------------------------------
	//-- Number of Slave Registers 4
	/*
	reg [C_S_AXI_DATA_WIDTH-1:0]	slv_reg0;
	reg [C_S_AXI_DATA_WIDTH-1:0]	slv_reg1;
	reg [C_S_AXI_DATA_WIDTH-1:0]	slv_reg2;
	reg [C_S_AXI_DATA_WIDTH-1:0]	slv_reg3;
	*/ 
        reg   [7:0]       VRAM0 [0:1023];
        reg   [7:0]       VRAM1 [0:1023];
        reg   [7:0]       VRAM2 [0:1023];
        reg   [7:0]       VRAM3 [0:1023];
        reg [`HCR-1:0] 	  CGROM[0:4095]; 
    
	wire	 slv_reg_rden;
	wire	 slv_reg_wren;
	reg [C_S_AXI_DATA_WIDTH-1:0]	 reg_data_out;
	integer	 byte_index;

	// I/O Connections assignments

	assign S_AXI_AWREADY	= axi_awready;
	assign S_AXI_WREADY	= axi_wready;
	assign S_AXI_BRESP	= axi_bresp;
	assign S_AXI_BVALID	= axi_bvalid;
	assign S_AXI_ARREADY	= axi_arready;
	assign S_AXI_RDATA	= axi_rdata;
	assign S_AXI_RRESP	= axi_rresp;
	assign S_AXI_RVALID	= axi_rvalid;
	// Implement axi_awready generation
	// axi_awready is asserted for one S_AXI_ACLK clock cycle when both
	// S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_awready is
	// de-asserted when reset is low.

	always @( posedge S_AXI_ACLK )
	begin
	  if ( S_AXI_ARESETN == 1'b0 )
	    begin
	      axi_awready <= 1'b0;
	    end 
	  else
	    begin    
	      if (~axi_awready && S_AXI_AWVALID && S_AXI_WVALID)
	        begin
	          // slave is ready to accept write address when 
	          // there is a valid write address and write data
	          // on the write address and data bus. This design 
	          // expects no outstanding transactions. 
	          axi_awready <= 1'b1;
	        end
	      else           
	        begin
	          axi_awready <= 1'b0;
	        end
	    end 
	end       

	// Implement axi_awaddr latching
	// This process is used to latch the address when both 
	// S_AXI_AWVALID and S_AXI_WVALID are valid. 

	always @( posedge S_AXI_ACLK )
	begin
	  if ( S_AXI_ARESETN == 1'b0 )
	    begin
	      axi_awaddr <= 0;
	    end 
	  else
	    begin    
	      if (~axi_awready && S_AXI_AWVALID && S_AXI_WVALID)
	        begin
	          // Write Address latching 
	          axi_awaddr <= S_AXI_AWADDR;
	        end
	    end 
	end       

	// Implement axi_wready generation
	// axi_wready is asserted for one S_AXI_ACLK clock cycle when both
	// S_AXI_AWVALID and S_AXI_WVALID are asserted. axi_wready is 
	// de-asserted when reset is low. 

	always @( posedge S_AXI_ACLK )
	begin
	  if ( S_AXI_ARESETN == 1'b0 )
	    begin
	      axi_wready <= 1'b0;
	    end 
	  else
	    begin    
	      if (~axi_wready && S_AXI_WVALID && S_AXI_AWVALID)
	        begin
	          // slave is ready to accept write data when 
	          // there is a valid write address and write data
	          // on the write address and data bus. This design 
	          // expects no outstanding transactions. 
	          axi_wready <= 1'b1;
	        end
	      else
	        begin
	          axi_wready <= 1'b0;
	        end
	    end 
	end       

	// Implement memory mapped register select and write logic generation
	// The write data is accepted and written to memory mapped registers when
	// axi_awready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted. Write strobes are used to
	// select byte enables of slave registers while writing.
	// These registers are cleared when reset (active low) is applied.
	// Slave register write enable is asserted when valid address and data are available
	// and the slave is ready to accept the write address and write data.
	assign slv_reg_wren = axi_wready && S_AXI_WVALID && axi_awready && S_AXI_AWVALID;

	always @( posedge S_AXI_ACLK )
	begin
	  if ( S_AXI_ARESETN == 1'b0 )
	    begin  
	    /*
	      slv_reg0 <= 0;
	      slv_reg1 <= 0;
	      slv_reg2 <= 0;
	      slv_reg3 <= 0;
	    */ 
	    end 
	  else begin
	    if (slv_reg_wren)
	      begin 
               if( S_AXI_WSTRB[0] )
                  VRAM0[ axi_awaddr[ ADDR_LSB+OPT_MEM_ADDR_BITS:ADDR_LSB ] ] = S_AXI_WDATA[ 7: 0];
               if( S_AXI_WSTRB[1] )
                  VRAM1[ axi_awaddr[ ADDR_LSB+OPT_MEM_ADDR_BITS:ADDR_LSB ] ] = S_AXI_WDATA[15: 8];
               if( S_AXI_WSTRB[2] )
                  VRAM2[ axi_awaddr[ ADDR_LSB+OPT_MEM_ADDR_BITS:ADDR_LSB ] ] = S_AXI_WDATA[23:16];
               if( S_AXI_WSTRB[3] )
                  VRAM3[ axi_awaddr[ ADDR_LSB+OPT_MEM_ADDR_BITS:ADDR_LSB ] ] = S_AXI_WDATA[31:24];
		/*
	        case ( axi_awaddr[ADDR_LSB+OPT_MEM_ADDR_BITS:ADDR_LSB] )
	          2'h0:
	            for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
	              if ( S_AXI_WSTRB[byte_index] == 1 ) begin
	                // Respective byte enables are asserted as per write strobes 
	                // Slave register 0
	                slv_reg0[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
	              end  
	          2'h1:
	            for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
	              if ( S_AXI_WSTRB[byte_index] == 1 ) begin
	                // Respective byte enables are asserted as per write strobes 
	                // Slave register 1
	                slv_reg1[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
	              end  
	          2'h2:
	            for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
	              if ( S_AXI_WSTRB[byte_index] == 1 ) begin
	                // Respective byte enables are asserted as per write strobes 
	                // Slave register 2
	                slv_reg2[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
	              end  
	          2'h3:
	            for ( byte_index = 0; byte_index <= (C_S_AXI_DATA_WIDTH/8)-1; byte_index = byte_index+1 )
	              if ( S_AXI_WSTRB[byte_index] == 1 ) begin
	                // Respective byte enables are asserted as per write strobes 
	                // Slave register 3
	                slv_reg3[(byte_index*8) +: 8] <= S_AXI_WDATA[(byte_index*8) +: 8];
	              end  
	          default : begin
	                      slv_reg0 <= slv_reg0;
	                      slv_reg1 <= slv_reg1;
	                      slv_reg2 <= slv_reg2;
	                      slv_reg3 <= slv_reg3;
	                    end
	        endcase
              */ 
	      end
	  end
	end    

	// Implement write response logic generation
	// The write response and response valid signals are asserted by the slave 
	// when axi_wready, S_AXI_WVALID, axi_wready and S_AXI_WVALID are asserted.  
	// This marks the acceptance of address and indicates the status of 
	// write transaction.

	always @( posedge S_AXI_ACLK )
	begin
	  if ( S_AXI_ARESETN == 1'b0 )
	    begin
	      axi_bvalid  <= 0;
	      axi_bresp   <= 2'b0;
	    end 
	  else
	    begin    
	      if (axi_awready && S_AXI_AWVALID && ~axi_bvalid && axi_wready && S_AXI_WVALID)
	        begin
	          // indicates a valid write response is available
	          axi_bvalid <= 1'b1;
	          axi_bresp  <= 2'b0; // 'OKAY' response 
	        end                   // work error responses in future
	      else
	        begin
	          if (S_AXI_BREADY && axi_bvalid) 
	            //check if bready is asserted while bvalid is high) 
	            //(there is a possibility that bready is always asserted high)   
	            begin
	              axi_bvalid <= 1'b0; 
	            end  
	        end
	    end
	end   

	// Implement axi_arready generation
	// axi_arready is asserted for one S_AXI_ACLK clock cycle when
	// S_AXI_ARVALID is asserted. axi_awready is 
	// de-asserted when reset (active low) is asserted. 
	// The read address is also latched when S_AXI_ARVALID is 
	// asserted. axi_araddr is reset to zero on reset assertion.

	always @( posedge S_AXI_ACLK )
	begin
	  if ( S_AXI_ARESETN == 1'b0 )
	    begin
	      axi_arready <= 1'b0;
	      axi_araddr  <= 32'b0;
	    end 
	  else
	    begin    
	      if (~axi_arready && S_AXI_ARVALID)
	        begin
	          // indicates that the slave has acceped the valid read address
	          axi_arready <= 1'b1;
	          // Read address latching
	          axi_araddr  <= S_AXI_ARADDR;
	        end
	      else
	        begin
	          axi_arready <= 1'b0;
	        end
	    end 
	end       

	// Implement axi_arvalid generation
	// axi_rvalid is asserted for one S_AXI_ACLK clock cycle when both 
	// S_AXI_ARVALID and axi_arready are asserted. The slave registers 
	// data are available on the axi_rdata bus at this instance. The 
	// assertion of axi_rvalid marks the validity of read data on the 
	// bus and axi_rresp indicates the status of read transaction.axi_rvalid 
	// is deasserted on reset (active low). axi_rresp and axi_rdata are 
	// cleared to zero on reset (active low).  
	always @( posedge S_AXI_ACLK )
	begin
	  if ( S_AXI_ARESETN == 1'b0 )
	    begin
	      axi_rvalid <= 0;
	      axi_rresp  <= 0;
	    end 
	  else
	    begin    
	      if (axi_arready && S_AXI_ARVALID && ~axi_rvalid)
	        begin
	          // Valid read data is available at the read data bus
	          axi_rvalid <= 1'b1;
	          axi_rresp  <= 2'b0; // 'OKAY' response
	        end   
	      else if (axi_rvalid && S_AXI_RREADY)
	        begin
	          // Read data is accepted by the master
	          axi_rvalid <= 1'b0;
	        end                
	    end
	end    

	// Implement memory mapped register select and read logic generation
	// Slave register read enable is asserted when valid address is available
	// and the slave is ready to accept the read address.
	assign slv_reg_rden = axi_arready & S_AXI_ARVALID & ~axi_rvalid;
	always @(*)
	begin
	      // Address decoding for reading registers 
              reg_data_out <= { VRAM3[ axi_araddr[ADDR_LSB+OPT_MEM_ADDR_BITS:ADDR_LSB] ],
                                VRAM2[ axi_araddr[ADDR_LSB+OPT_MEM_ADDR_BITS:ADDR_LSB] ],
                                VRAM1[ axi_araddr[ADDR_LSB+OPT_MEM_ADDR_BITS:ADDR_LSB] ],
                                VRAM0[ axi_araddr[ADDR_LSB+OPT_MEM_ADDR_BITS:ADDR_LSB] ]
                              };
	      /*
	      case ( axi_araddr[ADDR_LSB+OPT_MEM_ADDR_BITS:ADDR_LSB] )
	        2'h0   : reg_data_out <= slv_reg0;
	        2'h1   : reg_data_out <= slv_reg1;
	        2'h2   : reg_data_out <= slv_reg2;
	        2'h3   : reg_data_out <= slv_reg3;
	        default : reg_data_out <= 0;
	      endcase
	      */ 
	end

	// Output register or memory read data
	always @( posedge S_AXI_ACLK )
	begin
	  if ( S_AXI_ARESETN == 1'b0 )
	    begin
	      axi_rdata  <= 0;
	    end 
	  else
	    begin    
	      // When there is a valid read address (S_AXI_ARVALID) with 
	      // acceptance of read address by the slave (axi_arready), 
	      // output the read dada 
	      if (slv_reg_rden)
	        begin
	          axi_rdata <= reg_data_out;     // register read data
	        end   
	    end
	end    

	// Add user logic here  
        initial
	  begin
             $readmemh( "MB_VRAM0.mif",    VRAM0 );
             $readmemh( "MB_VRAM1.mif",    VRAM1 );
             $readmemh( "MB_VRAM2.mif",    VRAM2 );
             $readmemh( "MB_VRAM3.mif",    VRAM3 );
             $readmemh( "18x18ja_256.mif", CGROM );
	  end
  
        reg   [7:0] HCNT;  // H Counter 0-99
        reg   [6:0] VCNT;  // V Counter 0-27
        reg   [3:0] RCNT;  // R Counter 0-18
  
        wire        CEP;
        reg   [3:0] CNT;
  
        wire [14:0] VADR;  // VideoRAM Address
  
        reg  [ 7:0] CCOD;   // Character Code
        reg  [11:0]     CGADR;  // CGROM Address
        reg  [`HCR-1:0] CGDATA; // Character Raster Data
  
        reg  [`HCR-1:0] SR;     // Shift Register

	wire       DE;  

        // USER logic implementation added here
  
        always @( posedge CGA_CLK )
	  if( ~S_AXI_ARESETN ) CNT <= 0; else
                               CNT <= CNT + 1;
  
        assign CEP  = &CNT;   //  3.125MHz 1/16 for character clock
       

        // Counter
        always @( posedge CGA_CLK )
	  begin
             if( ~S_AXI_ARESETN ) begin HCNT<=0; RCNT<=0; VCNT<=0; end
             if( CEP )
               begin
		  if( HCNT == `HMAX-1 )
		    begin
                       HCNT <= 0;
                       if( RCNT == `VCR-1 )
			 begin
			    RCNT <= 0;
			    if( VCNT == `VMAX-1 ) VCNT <= 0;
			    else                  VCNT <= VCNT + 1;
			 end
                       else
			 RCNT <= RCNT + 1;
		    end
		  else
		    HCNT <= HCNT + 1;
               end
	  end
  
  
        // VRAM
        assign VADR = VCNT * `HSIZ + HCNT;
        always @( posedge CGA_CLK )
        if( CEP )
          case( VADR[1:0] )
            2'b00: CGADR <= { VRAM0[ VADR[12:2] ], RCNT };
            2'b01: CGADR <= { VRAM1[ VADR[12:2] ], RCNT };
            2'b10: CGADR <= { VRAM2[ VADR[12:2] ], RCNT };
            2'b11: CGADR <= { VRAM3[ VADR[12:2] ], RCNT };
          endcase
            
        // CGROM
        always @( posedge CGA_CLK )
	  CGDATA= CGROM[ CGADR ];
  
        always @( posedge CGA_CLK )
	  begin
	     if ( ~S_AXI_ARESETN ) SR <= 0;    else
	       if ( CEP  ) SR <= CGDATA;       else
                           SR <= { SR[`HCR-2:0], 1'b0 };
          end
     
        //Sync
        assign HS = ~( HCNT >= `HSP & HCNT < (`HSP + `HSL) );
        assign VS = ~( VCNT >= `VSP & VCNT < (`VSP + `VSL)  );
   
        assign DE = ( ( 1 < HCNT & HCNT < `HSIZ+2 ) && ( VCNT < `VSIZ ) && (RCNT < `VCR ) );
  
        assign { R, G, B } = ( SR[`HCR-1] && DE ) ? 12'hfff : 12'h000;
     
	// User logic ends

endmodule




"cga_v1_0_S00_AXI.v" から、ビデオコントローラ内にある2つのメモリを初期 化するためのファイルをプロジェクトに登録必要があります。
以下からファイルを取り出し、作業ディレクトリ内にコピーします。

| MB_VRAM0.mif | MB_VRAM1.mif | MB_VRAM2.mif | MB_VRAM3.mif | (ビデオ用メモリの初期化ファイル)
18x18ja_256.mif (このフォントファイルは、X11用フォントである font-misc-misc-1.0.0.tar.gz 内の "18x18ja.bdf" から作成している。)

そして,下図のように"Sources"の"Design Sources"の所 でマウスの右ボタンを押して"Add Sources..."を選択し,先にコピーした "MB_VRAM.mif"と"18x18ja_256.mif"を登録します.



登録の際には,下図のように"Copy source into IP Directory"を忘れずにチェックしておきます.



登録後は,"Sources"の所に"Memory initialization Files"の項目ができ, 2つのファイルが登録されていることが分かります.



また,右側のウィンドウの"File Groups"の所に,"Mearge changes from File Groups Wizard"という注意が出ていますが,これをクリックすると以下のよう に2つのメモリ初期化ファイルが登録されていることが分かります.



次に,"Customization Parameters"の所においても,"Mearge changes from File Groups Wizard"をクリックします.ここでは,"C_S00_AXI_ADDR_WIDTH"が16に なっていることを確認しておきます.

次に,"Ports and Interfaces"の所では,右ウィンドウ内の"Clock and Reset Signals" の"CGA_CLK"所でマウスの右ボタンを押し"Remove Interface"を実行します.



下図のように,"CGA_CLK"のクロックインタフェース属性が外れて単なる入力 端子として取り扱います.



最後に,"Review and Package"の所で"IP has been modified"の注意をクリッ クし,続いてウィンドウ下部の"Re-Package IP"ボタンを押します.
IPの登録が完了すると,プロジェクトを閉じてよいかのダイアログが出てくる ので,"Yes"を押して終了します. これでビデオコントローラIPの作成が完了しました.

作成したビデオコントローラをシステムに追加します.
ダイアグラムウィンドウ内でマウスの右ボタンを押し, "Add IP..."を実行します.



"cga_v1.0"を選択してダイアグラム内に配置します. 下図はメニューを使用して配置した様子を示します.



最後に,以前と同様に"Run Connection Automation"を実行することで,追加 したビデオモジュールが接続されます.
実行後は下図のようになります.




次は、 ビデオモジュールの配線 を行います。
本ページで使用しているビデオ信号のタイミング生成回路は,
以下の文献で紹介されている記事,およびその中で使用している日立HD46505(モトローラMC6845の互換品)の機能を参考にして,
Verilog HDLに書き起こしたものです.

参考文献
トランジスタ技術編集部:つくるCRTディスプレイ(つくるシリーズ),CQ出版社,1987.7.

| Back | Top | Next |