FPGAへの実装


前回までで、ネットリスト "kite_top.sedif" ファイルが完成しました。 さっそくFPGAに実装しましょう。

実装のコマンドを実行する前に、一つ用意すべきファイルがあります。それは、 回路の入出力端子と実際の FPGA のチップの物理端子との対応を行う接続情報 ファイルです。以下の内容を、"kite_top.cst" または "kite_top.ucf" とし て用意しておきます。

配置配線用制約条件ファイル "kite_top.cst" (配置配線ツール XACT Step 5.X.X の場合: 学生実験はこちら )

配置配線用制約条件ファイル "kite_top.ucf" (配置配線ツール XACT Step M1.X.X の場合)

これは、例えば "CLOCK" 信号が 実際のチップの "C3" に対応付けられること を意味します。


用意ができましたら配置配線を行ってみましょう。

XACT Step 5.X.X の場合 (学生実験はこちら。)

XACT Step M1.X.X の場合


| CAD Home | Kuga Home |

My mail address is kuga@cs.kumamoto-u.ac.jp .
Last modified on .